아.. decoder.vhd 파일보니 나와있군요...
다른분들도 요부분 보시면 됩니다.

ps... EZ-X5 유저메뉴얼에... 이런부분의 설명도 언급이 되었더라면 더 좋을
것 같네요....



-------------
-- nCS1 영역
-------------

-- CS_TOUCH
CS_TOUCH <= '0' when nCS(1) = '0' and A23_22 = "01" else '1';

-- NAND Flash
nand_sel <= '0' when nCS(1) = '0' and A23_22 = "00" else '1';
OE_NB <= '0' when nand_sel = '0' else '1';
RE_NAND <= nOE when nand_sel = '0' else '1';
WE_NAND <= nWE when nand_sel = '0' else '1';
CLE_NAND <= '1' when nand_sel = '0' and A9_8 = "01" else '0';
ALE_NAND <= '1' when nand_sel = '0' and A9_8 = "10" else '0';

process( nand_sel, A9_8 )
begin
if nand_sel = '0' and A9_8 = "00" then CE_NAND <= '0';
elsif nand_sel = '0' and A9_8 = "11" then CE_NAND <= '1';
end if;
end process;




개구리 wrote..
: 내부에 CPLD 어드레스 맵을 그렇게 설정했답니다.
:
: 보통 NAND를 제어하기 위한 제어 신호는
:
: CS 를 올리는 신호
: CS 를 내리는 신호
: NAND를 읽거나 쓰는 신호
: NAND에 어드레스를 설정하는 신호
: NAND에 명령을 설정하는 신호
: 이렇게 나누어 집니다.
:
: 이런 내용은 NAND 타이밍도를 보시면 나옵니다. ^^
:
:
: DRI 는 저도 잘모르는데요...
: 그 글 쓰신 분이 푸지라는 분인데요..
:
: 이분이 요즘 정신이 없어서 거의 게시판을 못 오시네요...
:
: 아주 급한것이 아니면 다 다음주 쯤에 한번 더 올려 보세요...
: 답 달라고 이야기를 못하겠어요.. ( 무척 바빠 보여서... ㅜㅜ )
:
: 아님 차분히 PXA255 매뉴얼을 함 보세요...
: 거기에 있는 내용을 정리하신 것으로 알것든요 ^^